142427562

Nkhani

Chilengedwe chovuta komanso njira yolephera ya kulephera kwa zida zamagetsi

Papepalali, njira zolephereka ndi njira zolephereka za zida zamagetsi zimawerengedwa ndipo malo awo okhudzidwa amaperekedwa kuti apereke zina mwazolemba za kapangidwe kazinthu zamagetsi.
1. Njira zolephera za gawo
Nambala ya siriyo
Dzina lachigawo chamagetsi
Zolephera zokhudzana ndi chilengedwe
Kupsinjika kwa chilengedwe

1. Zigawo za Electromechanical
Kugwedezeka kumayambitsa kutopa kwa ma koyilo ndi kumasuka kwa zingwe.
Kugwedezeka, kugwedezeka

2. Semiconductor microwave zipangizo
High kutentha ndi kutentha mantha kumabweretsa delamination pa mawonekedwe pakati pa zinthu phukusi ndi chip, ndi pakati pa zinthu phukusi ndi Chip chofukizira mawonekedwe a pulasitiki losindikizidwa mayikirowevu monolith.
Kutentha kwakukulu, kutentha kwa kutentha

3. Mabwalo ophatikizika a Hybrid
Kugwedezeka kumabweretsa kusweka kwa ceramic gawo lapansi, kugwedezeka kwa kutentha kumabweretsa kusweka kwa ma electrode capacitor, ndipo kukwera njinga kumabweretsa kulephera kwa solder.
Kugwedezeka, kuzungulira kwa kutentha

4. Zida Zapadera ndi Madera Ophatikizidwa
Kuwonongeka kwa matenthedwe, kulephera kwa chip soldering, kulephera kolumikizana ndi lead mkati, kugwedezeka komwe kumabweretsa kuphulika kwa wosanjikiza.
Kutentha kwakukulu, kugwedezeka, kugwedezeka

5. Zigawo zotsutsa
Kuphulika kwa gawo lapansi, kuphulika kwa filimu yotsutsa, kusweka kwa lead
Kugwedezeka, kutentha kwakukulu ndi kutsika

6. Board mlingo dera
Mabowo amkuwa osweka, mabowo amkuwa osweka.
Kutentha kwakukulu

7. Mpweya wamagetsi
Kutopa kusweka kwa waya wotentha.
Kugwedezeka
2, chigawo cholephera kusanthula makina
Kulephera mumalowedwe a zigawo zamagetsi si limodzi, kokha woimira mbali ya mmene zigawo zikuluzikulu tcheru chilengedwe kulolerana malire kusanthula, kuti tipeze zambiri ambiri mapeto.
2.1 Zigawo za Electromechanical
Zigawo zodziwika bwino za electromechanical zimaphatikizapo zolumikizira zamagetsi, ma relay, ndi zina. Mitundu yolephera imawunikidwa mozama ndi kapangidwe ka mitundu iwiri ya zigawo motsatana.

1) Zolumikizira zamagetsi
Cholumikizira magetsi ndi chipolopolo, insulator ndi thupi lolumikizana la magawo atatu oyambira, njira yolephereka imafotokozeredwa mwachidule pakulephera kukhudzana, kulephera kwa insulation ndi kulephera kwamakina kwamitundu itatu yolephera.Mtundu waukulu wa kulephera kwa cholumikizira magetsi kwa kulephera kukhudzana, kulephera kwa ntchito yake: kukhudzana pa nthawi yopuma ndi kukhudzana kukana kumawonjezeka.Pakuti zolumikizira magetsi, chifukwa cha kukhalapo kukana kukhudzana ndi zinthu kondakitala kukana, pamene pali otaya panopa kudzera cholumikizira magetsi, kukana kukhudzana ndi zitsulo zinthu kondakitala kukana adzapanga Joule kutentha, Joule kutentha kumawonjezera kutentha, zomwe zimabweretsa kuwonjezeka kwa kutentha kwa malo olumikizirana, kutentha kwambiri kumapangitsa kuti chitsulocho chifewetse, kusungunuka kapena kuwira, komanso kumawonjezera kukana kukhudzana, motero kumayambitsa kulephera kukhudzana..M'malo otentha kwambiri, magawo omwe amalumikizana nawo amawoneka ngati akukwawa, zomwe zimapangitsa kuti kulumikizana pakati pa magawo olumikizana kuchepe.Pamene kuthamanga kwa kukhudzana kumachepetsedwa kufika pamlingo wina, kukana kukhudzana kudzawonjezeka kwambiri, ndipo pamapeto pake kumayambitsa kukhudzana kwa magetsi, zomwe zimapangitsa kuti musagwirizane.

Komano, cholumikizira magetsi mu yosungirako, zoyendera ndi ntchito, adzakhala pansi zosiyanasiyana katundu kugwedera ndi mphamvu zotsatira, pamene kunja kugwedera katundu makwiyiridwe mafunde ndi zolumikizira magetsi pafupi ndi pafupipafupi chibadidwe, adzapanga cholumikizira magetsi resonance. chodabwitsa, chifukwa kusiyana pakati kukhudzana zidutswa kukhala lalikulu, kusiyana ukuwonjezeka kumlingo wakuti, kukhudzana kuthamanga adzazimiririka yomweyo, chifukwa magetsi kukhudzana "nthawi yopuma".Mu kugwedezeka, kugwedezeka kwamphamvu, cholumikizira magetsi chidzapanga kupsinjika kwamkati, pamene kupsinjika kumaposa mphamvu ya zokolola za zinthuzo, kumapangitsa kuwonongeka kwa zinthu ndi kusweka;mu gawo la kupsinjika kwa nthawi yayitali, zinthuzo zidzachitikanso kuwonongeka kwa kutopa, ndipo pamapeto pake zimayambitsa kulephera.

2) Kutumiza
Ma electromagnetic relay nthawi zambiri amakhala ndi ma cores, ma coil, armatures, kulumikizana, mabango ndi zina zotero.Malingana ngati magetsi ena akuwonjezeredwa kumalekezero onse a koyilo, mphamvu inayake idzayenda mu koyilo, motero imatulutsa mphamvu yamagetsi, mphamvuyo idzagonjetsa mphamvu yamagetsi yamagetsi yokopa kuti ibwerere ku chikoka cha kasupe pakatikati, chomwe chimayambitsa mphamvu yamagetsi. Kenako imayendetsa zolumikizana ndi zida zolumikizirana ndi ma static contacts (nthawi zambiri zotsegula) kuti zitseke.Koyiloyo ikazimitsidwa, mphamvu yamagetsi yamagetsi imazimiririkanso, chidacho chimabwerera pamalo oyamba pansi pa mphamvu ya masika, kotero kuti kukhudza kosuntha ndi kukhudza koyambirira (komwe kumakhala kotseka) kuyamwa.Izi kuyamwa ndi kumasulidwa, motero kukwaniritsa cholinga cha conduction ndi kudula mu dera.
Mitundu yayikulu yakulephera kwathunthu kwa ma electromagnetic relays ndi awa: relay nthawi zambiri imatsegulidwa, relay nthawi zambiri imatsekedwa, relay dynamic spring action siyikukwaniritsa zofunika, kutseka kwapang'onopang'ono pambuyo poti magawo amagetsi a relay apitilira osauka.Chifukwa cha kuchepa kwa njira yopangira ma elekitirodi otumizirana ma elekitiroma, kulephera kwa ma elekitirodi ambiri pakupanga kuyika zowopsa zobisika, monga nthawi yopumira yamakina ndi yayifupi kwambiri zomwe zimapangitsa kuti makina apangidwe pambuyo popindika, kuchotsa zotsalira sikutha. chifukwa PIND mayeso analephera kapena ngakhale kulephera, kuyezetsa fakitale ndi ntchito zowunikira si okhwima kuti kulephera kwa chipangizo ntchito, etc.Pamapangidwe a zida zomwe zili ndi ma relay, ndikofunikira kuyang'ana kwambiri kusinthika kwa chilengedwe kuti muganizire.

2.2 Zigawo za semiconductor mu microwave
Zipangizo zama microwave semiconductor ndi zigawo zopangidwa ndi Ge, Si ndi III ~ V zopangira semiconductor zomwe zimagwira ntchito mu microwave band.Amagwiritsidwa ntchito pazida zamagetsi monga radar, zida zamagetsi zamagetsi ndi makina olumikizirana ma microwave.Ma Microwave discrete chipangizo ma CD kuphatikiza popereka kulumikizana kwamagetsi ndi chitetezo chamakina ndi mankhwala pachimake ndi zikhomo, kapangidwe kake ndi kusankha kwa nyumbayo kuyeneranso kuganizira momwe magawo a parasitic amakhudzira mawonekedwe a chipangizocho.Nyumba ya microwave ndi gawo la dera, lomwe palokha limapanga gawo lathunthu komanso lotulutsa.Chifukwa chake, mawonekedwe ndi mawonekedwe a nyumba, kukula, zida za dielectric, kasinthidwe ka conductor, ndi zina zotere ziyenera kufanana ndi mawonekedwe a microwave a zigawo ndi mawonekedwe ogwiritsira ntchito dera.Zinthu izi zimatsimikizira magawo monga capacitance, kukana kutsogola kwamagetsi, kusokoneza mawonekedwe, ndi kutayika kwa conductor ndi dielectric kwa chubu nyumba.

Mitundu yolephera yokhudzana ndi chilengedwe komanso njira zamagawo a microwave semiconductor makamaka zimaphatikizira kuzama kwachitsulo pachipata komanso kuwonongeka kwa zinthu zopinga.Sinki yachitsulo pachipata ndi chifukwa cha kufalikira kwamphamvu kwa chipata chachitsulo (Au) mu ma GaAs, chifukwa chake makina olepherawa amachitika makamaka pakuyesa moyo mwachangu kapena kutentha kwambiri.Mulingo wa kufalikira kwa zipata zachitsulo (Au) mu ma GaAs ndi ntchito ya kufalikira kwa chipata chachitsulo, kutentha, ndi kutsika kwazinthu.Kuti kamangidwe kabwino ka lattice, kagwiridwe kachipangizoka sikamakhudzidwa ndi kufalikira kwapang'onopang'ono pa kutentha kwanthawi zonse, komabe, kufalikira kumatha kukhala kofunikira ngati malire a tinthu ndi akulu kapena pali zolakwika zambiri pamtunda.Resistors nthawi zambiri amagwiritsidwa ntchito mu microwave monolithic Integrated ma circuits for feedback circuits, kuyika makonda a zipangizo zogwira ntchito, kudzipatula, kaphatikizidwe ka mphamvu kapena kutha kwa kugwirizana, pali zigawo ziwiri zotsutsana: kukana mafilimu achitsulo (TaN, NiCr) ndi ma GaA ocheperapo. woonda wosanjikiza kukana.Mayesero akuwonetsa kuti kuwonongeka kwa NiCr kukana chifukwa cha chinyezi ndiye njira yayikulu yakulephera kwake.

2.3 Mabwalo ophatikizika a Hybrid
Traditional hybrid Integrated mabwalo, malinga ndi gawo lapansi la wandiweyani filimu kalozera tepi, woonda filimu kalozera ndondomeko tepi anawagawa m'magulu awiri a wandiweyani filimu wosakanizidwa Integrated mabwalo ndi woonda filimu wosakanizidwa Integrated mabwalo: ena ang'onoang'ono kusindikizidwa dera bolodi (PCB) dera, chifukwa cha dera kusindikizidwa ndi mu mawonekedwe a filimu mu lathyathyathya bolodi pamwamba kupanga chitsanzo conductive, komanso wachinsinsi monga madera hybrid Integrated.Ndi kutuluka kwa zigawo zingapo za chip chip chotsogola chophatikizika, gawo lake laling'ono lapadera lamitundu yambiri yosanjikiza mawaya ndi ukadaulo wodutsa m'dzenje, zapangitsa kuti zigawozo zikhale gawo lophatikizika la haibridi lophatikizika pamapangidwe apamwamba kwambiri olumikizirana ofanana ndi gawo lapansi lomwe limagwiritsidwa ntchito. m'magulu amitundu yambiri ndikuphatikizapo: filimu yopyapyala yopyapyala, filimu yochuluka kwambiri, yotentha kwambiri, yotentha kwambiri, yotentha kwambiri, silicon-based, PCB multilayer substrate, etc.

Ma Hybrid Integrated Circuit Depression Stress modes makamaka amaphatikizira kulephera kwamagetsi kotseguka komwe kumachitika chifukwa cha kusweka kwa gawo lapansi ndi kuwotcherera pakati pa zigawo ndi zowongolera filimu zokhuthala, zigawo ndi zowongolera filimu zoonda, gawo lapansi ndi nyumba.Kuwonongeka kwamakina chifukwa cha kutsika kwazinthu, kugwedezeka kwamafuta chifukwa cha ntchito yowotchera, kupsinjika kowonjezereka komwe kumachitika chifukwa cha kusagwirizana kwa tsamba lachigawo, kupsinjika kwapambuyo kwapakatikati chifukwa cha kusagwirizana kwamafuta pakati pa gawo lapansi ndi nyumba zachitsulo ndi zinthu zomangira, kupsinjika kwamakina kapena kupsinjika kwa kutentha komwe kumachitika chifukwa cha kuwonongeka kwamkati kwa gawo lapansi, kuwonongeka komwe kungachitike. chifukwa cha kubowola kwa gawo lapansi ndi kudula ming'alu yaying'ono yam'deralo, pamapeto pake kumabweretsa kupsinjika kwamakina akunja kuposa mphamvu yamakina a ceramic gawo lapansi lomwe zotsatira zake ndikulephera.

Zomangamanga za solder zimatha kupsinjika mobwerezabwereza kutentha kwa njinga, zomwe zingayambitse kutopa kwamafuta a solder wosanjikiza, zomwe zimapangitsa kuchepa kwa mphamvu zomangira ndikuwonjezera kukana kwamafuta.Kwa makalasi opangidwa ndi malata a ductile solder, ntchito ya kutentha kwa cyclic stress imayambitsa kutopa kwamafuta a solder ndi chifukwa cha kuchuluka kwa matenthedwe azinthu ziwiri zomwe zimagwirizanitsidwa ndi solder ndi zosagwirizana, ndi solder displacement deformation kapena shear deformation, pambuyo mobwerezabwereza, wosanjikiza solder ndi kutopa mng'alu kukulitsa ndi kukulitsa, pamapeto pake kumabweretsa kutopa kulephera kwa solder wosanjikiza.
2.4 Zida zapadera ndi mabwalo ophatikizika
Zida za semiconductor discrete zimagawidwa mu diode, bipolar transistors, MOS field effect chubu, thyristors ndi insulated gate bipolar transistors ndi magulu akuluakulu.Mabwalo ophatikizika amakhala ndi ntchito zosiyanasiyana ndipo amatha kugawidwa m'magulu atatu malinga ndi ntchito zawo, zomwe ndi mabwalo ophatikizika a digito, mabwalo ophatikizika a analogi ndi mabwalo ophatikizika a digito-analogi.

1) Zida zapadera
Zida zodziwika bwino ndi zamitundu yosiyanasiyana ndipo zimakhala ndi mawonekedwe awoawo chifukwa cha ntchito ndi njira zosiyanasiyana, zomwe zimakhala ndi kusiyana kwakukulu pakulephera.Komabe, monga zida zoyambira zomwe zimapangidwa ndi semiconductor njira, pali zofananira mu fizikisi yawo yolephera.Zolephera zazikulu zokhudzana ndi zimango zakunja ndi chilengedwe ndi kuwonongeka kwa kutentha, kuphulika kwamphamvu, kulephera kwa chip soldering ndi kulephera kwa mgwirizano wotsogolera mkati.

Kuwonongeka kwamafuta: Kuwonongeka kwamafuta kapena kuwonongeka kwachiwiri ndiye njira yayikulu yolephera yomwe imakhudza magawo amagetsi a semiconductor, ndipo kuwonongeka kwakukulu pakagwiritsidwe ntchito kumakhudzana ndi vuto lachiwiri.Kuwonongeka kwachiwiri kumagawika ku kusokonekera kwachiwiri kwachiwiri komanso kusinthika kwachiwiri.Yoyamba imagwirizana kwambiri ndi kutentha kwa chipangizocho, monga kuchuluka kwa ma doping a chipangizocho, kukhazikika kwapakatikati, ndi zina zambiri, pomwe chomalizacho chikugwirizana ndi kuchuluka kwamphamvu kwa onyamula katundu m'dera lacharge (monga pafupi ndi osonkhanitsa), onse awiri. amene nthawi zonse limodzi ndi ndende ya panopa mkati chipangizo.Pogwiritsira ntchito zigawo zoterezi, chidwi chapadera chiyenera kuperekedwa ku chitetezo cha kutentha ndi kutentha kwa kutentha.

Kuphulika kwamphamvu: Pakutseka kwamphamvu chifukwa cha mphamvu zakunja kapena zamkati, chodabwitsa chomwe chimayendetsedwa pakalipano cha ionization chomwe chimachitika mkati mwa chipangizocho mothandizidwa ndi ndende yonyamula yaulere imayambitsa kuphulika kwamphamvu, komwe kumatha kuchitika pazida za bipolar, diode ndi IGBT.

Kulephera kwa chip solder: Chifukwa chachikulu ndi chakuti chip ndi solder ndi zipangizo zosiyana ndi ma coefficients osiyanasiyana a kuwonjezereka kwa kutentha, kotero pali kusagwirizana kwa kutentha pa kutentha kwakukulu.Kuonjezera apo, kukhalapo kwa solder voids kumawonjezera kukana kwa kutentha kwa chipangizocho, kumapangitsa kuti kutentha kuwonongeke kwambiri ndikupanga malo otentha m'deralo, kukweza kutentha kwa mphambano ndikupangitsa kulephera kokhudzana ndi kutentha monga electromigration.

Kulephera kwa mgwirizano wamkati: makamaka kulephera kwa dzimbiri pamalo omangirira, komwe kumachitika chifukwa cha dzimbiri la aluminiyamu chifukwa cha mpweya wamadzi, zinthu za chlorine, ndi zina zambiri.Kutopa kusweka kwa aluminiyumu chomangira kumatsogolera chifukwa cha kutentha kapena kugwedezeka.IGBT mu phukusi la module ndi yaikulu kukula, ndipo ngati imayikidwa m'njira yosayenera, n'zosavuta kuchititsa kupsinjika maganizo, zomwe zimabweretsa kutopa kwapakati pa ma modules.

2) Dera lophatikizidwa
Kulephera kwa mabwalo ophatikizika ndi kugwiritsa ntchito chilengedwe kumakhala ndi ubale wabwino, chinyezi m'malo onyowa, kuwonongeka kopangidwa ndi magetsi osasunthika kapena mafunde amagetsi, kugwiritsa ntchito mawu ochulukirapo komanso kugwiritsa ntchito mabwalo ophatikizika m'malo opangira ma radiation popanda ma radiation. kulimbikitsa kukana kungayambitsenso kulephera kwa chipangizocho.

Zotsatira za mawonekedwe okhudzana ndi aluminiyumu: Pazida zamagetsi zokhala ndi silicon-based materials, SiO2 wosanjikiza monga filimu ya dielectric imagwiritsidwa ntchito kwambiri, ndipo aluminiyumu imagwiritsidwa ntchito ngati zinthu zogwirizanitsa mizere, SiO2 ndi aluminiyumu pa kutentha kwakukulu kudzakhala mankhwala, kotero kuti wosanjikiza wa aluminiyumu amakhala woonda, ngati wosanjikiza wa SiO2 watha chifukwa chakumwa, umayambitsa kulumikizana kwachindunji pakati pa aluminiyumu ndi silicon.Kuphatikiza apo, waya wotsogola wagolide ndi chingwe cholumikizira cha aluminiyamu kapena waya wolumikizana ndi aluminiyamu komanso kulumikizana kwa waya wotsogola wagolide wa chipolopolo cha chubu, kutulutsa mawonekedwe a Au-Al.Chifukwa cha kuthekera kwamitundu yosiyanasiyana yazitsulo ziwirizi, mutatha kugwiritsa ntchito nthawi yayitali kapena kusungirako kutentha kwambiri kuposa 200 ℃ zidzatulutsa mitundu yosiyanasiyana ya intermetallic, ndipo chifukwa cha kukhazikika kwawo kwa lattice ndi ma coefficients amawonjezedwe amatenthedwe amasiyana, mumalo omangirira mkati. kupsinjika kwakukulu, madutsidwe amakhala ochepa.

Metallization corrosion: Mzere wolumikizira aluminiyumu pa chip ukhoza kuwononga ndi nthunzi wamadzi m'malo otentha komanso achinyezi.Chifukwa cha kutsika mtengo komanso kupanga misa kosavuta, mabwalo ambiri ophatikizika amakutidwa ndi utomoni, komabe, nthunzi yamadzi imatha kudutsa utomoni kuti ifikire zolumikizira za aluminiyamu, ndi zonyansa zomwe zimabweretsedwa kuchokera kunja kapena kusungunuka mu utomoni wopangidwa ndi zitsulo zotayidwa ndi zitsulo zotayidwa. kuwonongeka kwa zolumikizira za aluminiyamu.

The delamination zotsatira chifukwa cha nthunzi madzi: pulasitiki IC ndi Integrated dera encapsulated ndi pulasitiki ndi zinthu zina utomoni polima, kuwonjezera pa delamination zotsatira pakati pa zinthu pulasitiki ndi chitsulo chimango ndi chip (yomwe imadziwika kuti "popcorn") chifukwa utomoni umakhala ndi mawonekedwe a adsorption wa nthunzi wamadzi, mphamvu ya delamination yobwera chifukwa cha kutsekemera kwa nthunzi wamadzi imapangitsanso chipangizocho kulephera..Njira yolephera ndiyo kuwonjezereka kwamadzi muzinthu zosindikizira za pulasitiki pa kutentha kwakukulu, kotero kuti kulekanitsa pakati pa pulasitiki ndi kumangiriza kwake kwa zipangizo zina, ndipo muzochitika zazikulu, thupi losindikiza pulasitiki lidzaphulika.

2.5 Capacitive resistive zigawo
1) Zotsutsa
Ma resistors wamba omwe sali okhotakhota amatha kugawidwa m'mitundu inayi malinga ndi zida zosiyanasiyana zomwe zimagwiritsidwa ntchito m'thupi la resistor, zomwe ndi mtundu wa aloyi, mtundu wa filimu, mtundu wa filimu wandiweyani ndi mtundu wopanga.Kwa ma resistors okhazikika, njira zazikulu zolephereka ndizotseguka, kusuntha kwamagetsi, etc.;pomwe kwa potentiometers, njira zazikulu zolephereka ndizotseguka, kusuntha kwamagetsi, kuwonjezereka kwaphokoso, etc. Malo ogwiritsira ntchito adzatsogoleranso kukalamba kotsutsa, komwe kumakhudza kwambiri moyo wa zida zamagetsi.

Oxidation: Kutsekemera kwa thupi la resistor kumawonjezera mtengo wokana ndipo ndiye chinthu chofunikira kwambiri chomwe chimayambitsa ukalamba wotsutsa.Kupatula matupi otsutsa opangidwa ndi zitsulo zamtengo wapatali ndi ma aloyi, zida zina zonse zidzawonongeka ndi mpweya wamlengalenga.Makutidwe ndi okosijeni ndi zotsatira za nthawi yayitali, ndipo mphamvu ya zinthu zina ikachepa pang'onopang'ono, makutidwe ndi okosijeni ndiye chinthu chachikulu, ndipo kutentha kwakukulu ndi malo a chinyezi kumathandizira kuti makutidwe ndi okosijeni azitha.Kwa zopinga zolondola komanso zopinga zamtengo wapatali, njira yofunika kwambiri yopewera okosijeni ndikutseka chitetezo.Zida zosindikizira ziyenera kukhala zakuthupi, monga zitsulo, ceramic, galasi, etc. The organic zoteteza wosanjikiza sangalepheretse kwathunthu chinyezi permeability ndi mpweya permeability, ndipo akhoza kungogwira ntchito mochedwetsa makutidwe ndi okosijeni ndi adsorption.

Kukalamba kwa Binder: Kwa organic synthetic resistors, kukalamba kwa organic binder ndiye chinthu chachikulu chomwe chimakhudza kukhazikika kwa chopinga.The organic binder makamaka ndi utomoni wopangira, womwe umasandulika kukhala polima wa polymerized thermosetting pochiritsa kutentha panthawi yopanga chopinga.Chinthu chachikulu chomwe chimayambitsa kukalamba kwa polima ndi okosijeni.Ma radicals aulere opangidwa ndi okosijeni amapangitsa kuti ma polymer ma molekyulu azilumikizana, omwe amachiritsa polima ndikupangitsa kuti ikhale yolimba, zomwe zimapangitsa kuti kutayika kwamphamvu komanso kuwonongeka kwamakina kuwonongeke.Kuchiritsa kwa binder kumapangitsa kuti wotsutsa achepetse kuchuluka kwake, kukulitsa kukhudzana pakati pa tinthu tating'onoting'ono ndikuchepetsa kukana, zomwe zimapangitsa kuchepa kwa kukana, koma kuwonongeka kwamakina kwa binder kumawonjezera kukana.Kawirikawiri kuchiritsa kwa binder kumachitika kale, kuwonongeka kwa mawotchi kumachitika pambuyo pake, kotero kukana kwa organic synthetic resistors kumasonyeza chitsanzo chotsatirachi: ena amachepetsa kumayambiriro kwa siteji, kenako atembenuke kuti awonjezere, ndipo pali chizolowezi chowonjezeka.Popeza kukalamba kwa ma polima kumagwirizana kwambiri ndi kutentha ndi kuwala, zopinga zopangira zimafulumizitsa ukalamba pansi pa malo otentha kwambiri komanso kuwunikira mwamphamvu.

Kukalamba pansi pa katundu wamagetsi: Kuyika katundu pa chotsutsa kumafulumizitsa ukalamba wake.Pansi pa katundu wa DC, zochita za electrolytic zitha kuwononga zopinga zopyapyala zamakanema.Electrolysis imapezeka pakati pa mipata ya slotted resistor, ndipo ngati resistor gawo lapansi ndi ceramic kapena galasi zakuthupi zomwe zili ndi ayoni zitsulo zamchere, ma ions amasuntha pansi pa mphamvu ya magetsi pakati pa mipata.M'malo achinyezi, njirayi imapitilira mwankhanza kwambiri.

2) Ma capacitors
Mitundu yolephereka ya ma capacitor ndi njira yayifupi, yotseguka, kuwonongeka kwa magawo amagetsi (kuphatikiza kusintha kwa mphamvu, kuwonjezeka kwa tangent yotayika komanso kuchepa kwa kukana kwa insulation), kutayikira kwamadzi ndi kuwonongeka kwa dzimbiri.

Dera lalifupi: Arc yowuluka m'mphepete pakati pa mitengo yotentha kwambiri komanso kutsika kwa mpweya kumapangitsa kuti ma capacitor azifupikitsa, kuwonjezera apo, kupsinjika kwamakina monga kugwedezeka kwakunja kumapangitsanso kuti dielectric ikhale yochepa.

Dera lotseguka: Kutsekemera kwa mawaya otsogolera ndi ma elekitirodi olumikizana chifukwa cha chinyezi komanso kutentha, zomwe zimapangitsa kuti pakhale kusafikika kwapang'onopang'ono komanso kuphulika kwa dzimbiri kwa zojambulazo za anode lead.
Kuwonongeka kwa magawo amagetsi: Kuwonongeka kwa magawo amagetsi chifukwa cha kutengera kwa chinyezi.

2.6 Kuzungulira kwa board-level
Kusindikizidwa dera bolodi makamaka wapangidwa insulating gawo lapansi, zitsulo mawaya ndi kulumikiza zigawo zosiyanasiyana mawaya, solder zigawo zikuluzikulu "ziyangoyango".Ntchito yake yayikulu ndikupereka chonyamulira cha zida zamagetsi, komanso kugwira ntchito yolumikizira magetsi ndi makina.

Njira yolephera ya bolodi yosindikizidwa imaphatikizapo kusanjikiza bwino, kutseguka ndi kufupika kuzungulira, matuza, kuphulika kwa bolodi, kuwonongeka kwa bolodi kapena kusinthika, kupindika kwa bolodi.


Nthawi yotumiza: Nov-21-2022